当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Warning (10492): VHDL Process Statement warning at ymcs.vhd(34): signal "a" is read inside the Process Statement but isn't in the Process Statement's sensitivity list是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Warning (10492): VHDL Process Statement warning at ymcs.vhd(34): signal "a" is read inside the Process Statement but isn't in the Process Statement's sensitivity list
问题补充:

  • 匿名
2013-05-23 12:21:38
警告(10492):VHDL过程中声明,警告在ymcs.vhd(34):“A”信号读取里面的进程的声明,但在过程语句的敏感性清单
  • 匿名
2013-05-23 12:23:18
警告( 10492) :vhdl进程声明,警告在ymcs.vhd(34):信号“a”的内部读取进程语句使用,但不发表声明的进程中的敏感度”列表
  • 匿名
2013-05-23 12:24:58
警告(10492) : VHDL处理声明警告在ymcs.vhd (34) : 发信号“a”读在处理声明里面,但不在处理声明的敏感性名单
  • 匿名
2013-05-23 12:26:38
(10492) 警告: 在 ymcs.vhd(34) VHDL 过程声明警告:"a"读取过程语句内,但过程语句的敏感性列表中没有的信号
  • 匿名
2013-05-23 12:28:18
警告 (10492):在 ymcs.vhd(34) 的 VHDL Process 声明警告:信号在过程声明里面被阅读但是不在过程声明的敏感列表中
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭