当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at updown.vhd(24) near text "="; expecting "(", or "'", or "."是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at updown.vhd(24) near text "="; expecting "(", or "'", or "."
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):updown.vhd附近文本“=”(24)的VHDL语法错误;期待“(”或“'”,或“。”
  • 匿名
2013-05-23 12:23:18
错误(10500__LW_AT__):VHDL语法错误在updown.vhd(24)近案文“=”;预期"(",或"'",或"."
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在 updown.vhd(24) 附近文本"="; 错误 (10500): VHDL 语法错误期待"(",或"'",或"。"
  • 匿名
2013-05-23 12:28:18
错误(10500):updown.vhd附近文本“=”(24)的VHDL语法错误;期待“(”或“'”,或“。”
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭