当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at ui.vhd(75) near text "741600"; expecting "(", or an identifier, or a sequential statement,是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at ui.vhd(75) near text "741600"; expecting "(", or an identifier, or a sequential statement,
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):VHDL语法错误在ui.vhd(75)附近的文字“741600”,期待“(”,或一个标识符,或顺序语句,
  • 匿名
2013-05-23 12:23:18
Error (10,500 ) : syntax error at VHDL UI .vhd (75) near text "741,600 "; expecting " ( ", or an identifier, or a sequential statement.
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在文本"741600"; 附近的 ui.vhd(75) 错误 (10500): vhdl 语言语法错误期待"(",或标识符或顺序的声明,
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭