当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10200): Verilog HDL Conditional Statement error at control.v(168): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10200): Verilog HDL Conditional Statement error at control.v(168): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10200):Verilog HDL语言的条件语句在control.v错误(168):无法比拟的条件操作数(S)在封闭的事件控制的总是构造相应的边缘
  • 匿名
2013-05-23 12:23:18
错误( 10200) :veriloghdl条件语句错误在控制.v(168):不能匹配操作数(s)的条件,相应的边缘封闭事件控制的构建始终
  • 匿名
2013-05-23 12:24:58
错误(10200) : Verilog HDL条件语句错误在control.v (168) : 在修建的附寄的事件控制不可能总匹配操作数(s)在情况对对应的边缘
  • 匿名
2013-05-23 12:26:38
错误 (10200): 在 control.v(168) 语言 HDL 条件语句错误: 不能匹配操作数中的封闭的事件控件的相应边条件下始终构造
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭