当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at ymcs.vhd(9) near text "end"; expecting an identifier ("end" is a reserved keyword), or "constant", or "file", or "signal", or "variable"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at ymcs.vhd(9) near text "end"; expecting an identifier ("end" is a reserved keyword), or "constant", or "file", or "signal", or "variable"
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):VHDL在语法错误ymcs.vhd(9)附近的短信“结束”;预期的标识符(“结束”是一个保留关​​键字)​​,或“不变”,或“文件”,或“信号”,或“变”
  • 匿名
2013-05-23 12:23:18
错误(10500):vhdl语法错误在ymcs.vhd(9)文本附近“终结”,期望一个标识符(“端”是一个保留的关键字),或“不变”,或“文件”或“信号”,或“变量”
  • 匿名
2013-05-23 12:24:58
错误(10500) : VHDL句法错误在ymcs.vhd (9)在文本“末端”附近; 期望标识符(“末端”是一个后备的主题词),或者“常数”或者“文件”或者“信号”或者“可变物”
  • 匿名
2013-05-23 12:26:38
在"结束"; 文本附近的 ymcs.vhd(9) 错误 (10500): vhdl 语言语法错误应为标识符 ("结束"是保留的关键字),或"常数"或"文件"或"信号"或"变量"
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭