当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10170): Verilog HDL syntax error at multipier.v(210) near text "begin"; expecting "endmodule"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10170): Verilog HDL syntax error at multipier.v(210) near text "begin"; expecting "endmodule"
问题补充:

  • 匿名
2013-05-23 12:21:38
Verilog HDL的语法错误(10170):在multipier.v附近的文本错误(210)“开始”,期待“endmodule”
  • 匿名
2013-05-23 12:23:18
差错(10170 ): multipier的Verilog HDL句法差错。(210 )邻近正文“开始”; 期待“endmodule"
  • 匿名
2013-05-23 12:24:58
错误(10170) : Verilog HDL句法错误在multipier.v (210)在文本附近“开始”; 期望“endmodule”
  • 匿名
2013-05-23 12:26:38
错误 (10170): 语言实现语法错误的文本附近的 multipier.v(210) 在"开始";期待"endmodule"
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭