当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10112): Ignored design unit "comp" at comp.v(1) due to previous errors是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10112): Ignored design unit "comp" at comp.v(1) due to previous errors
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10112):设计单位忽略“小样”在comp.v(1)由于前面的错误
  • 匿名
2013-05-23 12:23:18
错误( 10112) :忽略设计单位“补偿”在comp.v(1)由于以前的错误
  • 匿名
2013-05-23 12:24:58
错误(10112) : 被忽略的设计单位“comp”在comp.v (1)由于早先错误
  • 匿名
2013-05-23 12:26:38
忽略设计单位在以前的错误导致的 comp.v(1)"复合"的错误 (10112):
  • 匿名
2013-05-23 12:28:18
错误 (10112):被忽略设计单位“comp”在由于以前的错误的 comp.v(1)
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭