当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at try_3.vhd(11) near text "process"; expecting "begin", or a declaration statement是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at try_3.vhd(11) near text "process"; expecting "begin", or a declaration statement
问题补充:

  • 匿名
2013-05-23 12:21:38
正在翻译,请等待...
  • 匿名
2013-05-23 12:23:18
错误(10500):vhdl语法错误在try_3.vhd(11)近文本"进程";预计"开始",或一个声明语句
  • 匿名
2013-05-23 12:24:58
错误(10500) : VHDL句法错误在try_3.vhd (11)在文本“过程”附近; 期望“开始”或者声明声明
  • 匿名
2013-05-23 12:26:38
在 try_3.vhd(11) 附近文本"进程"; 错误 (10500): vhdl 语言语法错误期待"开始",或声明语句
  • 匿名
2013-05-23 12:28:18
错误 (10500):在文本“过程”附近的 try_3.vhd(11) 的 VHDL 语法错误; 期待“开始”,或一份宣布声明
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭