当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at try_3.vhd(13) near text "then"; expecting "是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at try_3.vhd(13) near text "then"; expecting "
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):VHDL在try_3.vhd语法错误(13)附近的文本“,然后”;期待“<=”
  • 匿名
2013-05-23 12:23:18
正在翻译,请等待...
  • 匿名
2013-05-23 12:24:58
错误(10500) : VHDL句法错误在try_3.vhd (13)在文本附近“然后”; 期望“<=”
  • 匿名
2013-05-23 12:26:38
在文本"然后"; 附近的 try_3.vhd(13) 错误 (10500): vhdl 语言语法错误期待"< ="
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭