当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10818): Can't infer register for "count_1[0]" at try_2.vhd(163) because it does not hold its value outside the clock edge是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10818): Can't infer register for "count_1[0]" at try_2.vhd(163) because it does not hold its value outside the clock edge
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10818):不能推断注册“_1 [0]”try_2.vhd(163),因为它不持有其价值以外的时钟边沿
  • 匿名
2013-05-23 12:23:18
正在翻译,请等待...
  • 匿名
2013-05-23 12:24:58
错误(10818) : 不能推断登记“count_1 (0)”在try_2.vhd (163),因为它不表示它的价值在时钟脉冲边沿之外
  • 匿名
2013-05-23 12:26:38
(10818) 错误: 无法推断出选民登记册"count_1 [0]"在 try_2.vhd(163) 因为不能外部时钟边缘及其价值
  • 匿名
2013-05-23 12:28:18
错误 (10818):不可以推断“count_1 的登记簿 (0)”在 try_2.vhd(163) 因为它不拥有其在时钟边缘外面的价值
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭