当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Warning (10492): VHDL Process Statement warning at SCANLED.VHD(24): signal "D0" is read inside the Process Statement but isn't in the Process Statement's sensivitity list是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Warning (10492): VHDL Process Statement warning at SCANLED.VHD(24): signal "D0" is read inside the Process Statement but isn't in the Process Statement's sensivitity list
问题补充:

  • 匿名
2013-05-23 12:21:38
警告(10492):VHDL进程在scanled.vhd声明警告(24):“D0”信号被读取进程的声明内,但在过程声明的sensivitity,列表
  • 匿名
2013-05-23 12:23:18
警告( 10492) :vhdl进程声明,警告在scanled.vhd(24):信号“d0”的过程中,读取但不发言的过程中声明的sensivitity列表
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在 SCANLED 的警告 (10492): VHDL 过程声明警告。VHD(24): 信号"D0"读取过程语句内,但该过程声明 sensivitity 列表中没有
  • 匿名
2013-05-23 12:28:18
警告 (10492):在 SCANLED.VHD(24) 的 VHDL Process 声明警告:信号“D0”在过程声明里面被阅读但是不在过程声明的 sensivitity 列表中
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭