当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at lab2.vhd(29) near text "3"; expecting "]", or an identifier, or a string literal, or "return"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at lab2.vhd(29) near text "3"; expecting "]", or an identifier, or a string literal, or "return"
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):VHDL语法错误在lab2.vhd附近文本“3”(29);期待“]”,或者一个标识符,或一个字符串文字,或“回归”
  • 匿名
2013-05-23 12:23:18
错误(10500):vhdl语法错误"lab2".vhd(29岁)在文本“3”;预计"]",或是一个标识符,或是一个字符串字面值,或"返回"
  • 匿名
2013-05-23 12:24:58
错误(10500) : VHDL句法错误在lab2.vhd (29)在文本“3”附近; 期望“)”或者标识符或者文字串或者“回归”
  • 匿名
2013-05-23 12:26:38
在文本"3"; 附近的 lab2.vhd(29) 错误 (10500): vhdl 语言语法错误期待"]",或标识符或字符串文字,或"回归"
  • 匿名
2013-05-23 12:28:18
正在翻译,请等待...
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭