当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10309): VHDL Interface Declaration error in LED.vhd(47): interface object "CQ" of mode out cannot be read. Change object mode to buffer.是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10309): VHDL Interface Declaration error in LED.vhd(47): interface object "CQ" of mode out cannot be read. Change object mode to buffer.
问题补充:

  • 匿名
2013-05-23 12:21:38
无法读取错误(10309):VHDL的接口声明错误LED.vhd(47):界面对象“重庆”的模式进行。改变对象的模式来缓冲。
  • 匿名
2013-05-23 12:23:18
错误( 10309) :VHDL接口宣言中的错误导致了.vhd(47):接口对象“CQ”的模式是不能阅读。 改变对象模式,缓冲区。
  • 匿名
2013-05-23 12:24:58
错误(10309) : VHDL接口声明错误在LED.vhd (47) : 接口对象“CQ”方式不可能读。 改变对象方式到缓冲。
  • 匿名
2013-05-23 12:26:38
正在翻译,请等待...
  • 匿名
2013-05-23 12:28:18
错误(10309):VHDL接口宣告错误领导。vhd(47):方式的接口对象"CQ"在外不能被读。把物体方式变为缓冲区。
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭