当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at LED.vhd(11) near text "process"; expecting "end", or "#", or an identifier ("process" is a reserved keyword#, or a sequential statement是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at LED.vhd(11) near text "process"; expecting "end", or "#", or an identifier ("process" is a reserved keyword#, or a sequential statement
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):在LED.vhd的VHDL语法错误(11)附近的“过程”文本;期待“结束”,或“#”,或一个标识符(“进程”是一​​个保留关​​键字#,或一个连续的语句
  • 匿名
2013-05-23 12:23:18
错误( 10500) :VHDL语法错误,导致了.vhd(11)近案文"程序";预期"结束",或"#",或一个标识符(「过程」是一个保留关键字#、或按顺序发言
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在 LED.vhd(11) 附近文本"进程"; 有错误 (10500): vhdl 语言语法错误期待"终结",或"#"或标识符 ("进程"是保留关键字 #,或连续的语句
  • 匿名
2013-05-23 12:28:18
错误(10500):VHDL句法错误在领导。vhd(11)近的正文"过程";期望"结束",或者"#"或者一个标识符("过程"是一个内向的关键字#或者一个顺序陈述
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭