当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at zh.vhd(16) near text "="; expecting "(", or an identifier, or unary operator是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at zh.vhd(16) near text "="; expecting "(", or an identifier, or unary operator
问题补充:

  • 匿名
2013-05-23 12:21:38
(10500)错误:zh.vhd附近文本“=”(16)的VHDL语法错误;期待“(”,或一个标识符,或一元运算符
  • 匿名
2013-05-23 12:23:18
: VHDL Error (10,500 ) syntax error at zh.vhd ( 16) near text=" " ( "and"; expecting or unary operator or an identifier.
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
Error (10500): VHDL syntax error at zh.vhd(16) near text "="; expecting "(", or an identifier, or unary operator
  • 匿名
2013-05-23 12:28:18
Error (10500): VHDL syntax error at zh.vhd(16) near text " ="; expecting " (" , or an identifier, or unary operator
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭