当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10482): VHDL error at szz.vhd(177): object "clk2" is used but not declared是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10482): VHDL error at szz.vhd(177): object "clk2" is used but not declared
问题补充:

  • 匿名
2013-05-23 12:21:38
使用错误(10482):VHDL szz.vhd(177)错误:对象“CLK2”,但没有宣布
  • 匿名
2013-05-23 12:23:18
错误( 10482) :VHDL错误在szz.vhd(177):对象“赤_P角2”是用但没有宣布
  • 匿名
2013-05-23 12:24:58
错误(10482) : VHDL错误在szz.vhd (177) : 使用对象“clk2”,但没有被宣称
  • 匿名
2013-05-23 12:26:38
错误 (10482): 在 szz.vhd(177) VHDL 错误:"clk2"对象是使用但未声明
  • 匿名
2013-05-23 12:28:18
错误(10500):文本“端口”(17)pll_test.vhd附近的VHDL语法错误;期待“(”或“'”,或“。
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭