当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at mux51.vhd(28) near text "signal"; expecting "end", or "#", or an identifier ("signal" is a reserved keyword#, or a concurrent statement是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at mux51.vhd(28) near text "signal"; expecting "end", or "#", or an identifier ("signal" is a reserved keyword#, or a concurrent statement
问题补充:

  • 匿名
2013-05-23 12:21:38
错误(10500):在mux51.vhd的VHDL语法错误(28)附近的“信号”文本;期待“结束”,或“#”,或标识符(“信号”是保留的关键字,或并发声明
  • 匿名
2013-05-23 12:23:18
错误( 10500) :VHDL仿语法错误在mux51.vhd(28)近案文“信号”;预期"结束"或"#",或一个标识符(“信号”是一个保留关键字#或同时声明
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
在文本"信号"; 附近的 mux51.vhd(28) 错误 (10500): vhdl 语言语法错误期待"终结",或"#"或标识符 ("信号"是保留关键字 # 或并发语句
  • 匿名
2013-05-23 12:28:18
错误(10500):在mux51的VHDL句法错误。vhd(28)近的正文"信号";期望"结束",或者"#"或者一个标识符("信号"是一个内向的关键字#或者一个同时的陈述
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭