当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at mult.vhd(27) near text "'"; expecting "(", or an identifier, or unary operator是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at mult.vhd(27) near text "'"; expecting "(", or an identifier, or unary operator
问题补充:

  • 匿名
2013-05-23 12:21:38
错误:mult.vhd(27)“'”的文本附近的VHDL语法错误;期待“(”,或一个标识符,或一元运算符(10500)
  • 匿名
2013-05-23 12:23:18
错误( 10500) :VHDL语法错误在综合.vhd(27)近案文"'";预期"(",或一个标识符,或元运算符
  • 匿名
2013-05-23 12:24:58
正在翻译,请等待...
  • 匿名
2013-05-23 12:26:38
错误 (10500): vhdl 语言语法错误的文本附近的 mult.vhd(27) 在"'";期待"(",或标识符或一元运算符
  • 匿名
2013-05-23 12:28:18
错误(10500):在mult的VHDL句法错误。近的vhd(27)发短消息"'";期望"(",或者一标识符,或者unary 操作者
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭