当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10482): VHDL error at parity.vhd(12): object "std_ulogic" is used but not declared是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10482): VHDL error at parity.vhd(12): object "std_ulogic" is used but not declared
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10482 ) : VHDL误差parity.vhd ( 12 ) :对象“ std_ulogic ”被使用,但没有宣布
  • 匿名
2013-05-23 12:23:18
错误(10482) :在parity.vhd (12)的VHDL错误:使用“std_ulogic”的对象,但是没有被宣称
  • 匿名
2013-05-23 12:24:58
错误 (10482) : VHDL错误在parity.vhd( 12) : “std_ulogic”使用对象,但没有被宣称
  • 匿名
2013-05-23 12:26:38
错误 (10482): 在 parity.vhd(12) vhdl 语言错误:"std_ulogic"的对象是使用但未声明
  • 匿名
2013-05-23 12:28:18
错误 (10482):在 parity.vhd(12) 的 VHDL 错误:物体“std_ulogic”被使用但是不被宣告
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭