当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at mutiarray.vhd(14) near text "constant"; expecting "end", or "(", or an identifier ("constant" is a reserved keyword), or a concurrent statement是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at mutiarray.vhd(14) near text "constant"; expecting "end", or "(", or an identifier ("constant" is a reserved keyword), or a concurrent statement
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10500 ) : VHDL语法在mutiarray.vhd错误( 14 )附近的文本“常数” ;
  • 匿名
2013-05-23 12:23:18
错误(10500) :VHDL在mutiarray.vhd (14)的句法错误在文本“常数”附近;期待“末端”或者“(\"或者标识符(“常数”是一个后备的主题词),或者一个一致声明
  • 匿名
2013-05-23 12:24:58
错误 (10500) : VHDL句法错误在mutiarray.vhd( 14) 在文本“常数”附近; 期望“末端”或者”( “或者标识符 (“常数”是一个后备的主题词)或者一个一致声明
  • 匿名
2013-05-23 12:26:38
在文本"恒定"; 附近的 mutiarray.vhd(14) 错误 (10500): VHDL 语法错误期待"结束",或"(",或标识符 ("恒"是保留的关键字),或并发语句
  • 匿名
2013-05-23 12:28:18
错误 (10500):在文本“常量”附近的 mutiarray.vhd(14) 的 VHDL 语法错误; 期待“终止,或 (”,或一个标识符 (“常量”是一个保留的关键字 ),或一份并发声明
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭