当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10481): VHDL Use Clause error at mutiarray.vhd(8): design library "ieee" does not contain primary unit "std_logic_unsinged"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10481): VHDL Use Clause error at mutiarray.vhd(8): design library "ieee" does not contain primary unit "std_logic_unsinged"
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10481 ) : VHDL使用条款误差mutiarray.vhd ( 8 ) :设计库“ IEEE ”不包含主单位“ std_logic_unsinged ”
  • 匿名
2013-05-23 12:23:18
错误(10481) :VHDL用途在mutiarray.vhd的条目错误(8) :设计图书馆“ieee”不包含“std_logic_unsinged的”主要单位
  • 匿名
2013-05-23 12:24:58
错误 (10481) : VHDL用途条目错误在mutiarray.vhd( 8) : 设计图书馆“ieee”不包含主要单位“std_logic_unsinged”
  • 匿名
2013-05-23 12:26:38
错误 (10481): 在 mutiarray.vhd(8) VHDL 使用子句错误: 图书馆"ieee"不包含基本计价单位"std_logic_unsinged"的设计
  • 匿名
2013-05-23 12:28:18
错误 (10481):在 mutiarray.vhd(8) 的 VHDL 使用条款错误:设计图书馆“ieee 不控制被 std_logic_unsinged 的”最初单位
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭