当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:Error (10500): VHDL syntax error at mux4_1.vhd(5) near text \",\"; expecting \";\", or \")\"是什么意思?

待解决 悬赏分:1 - 离问题结束还有
Error (10500): VHDL syntax error at mux4_1.vhd(5) near text \",\"; expecting \";\", or \")\"
问题补充:

  • 匿名
2013-05-23 12:21:38
错误( 10500 ) : VHDL语法错误mux4_1.vhd ( 5 )附近的文本\ “ , \ ” ;
  • 匿名
2013-05-23 12:23:18
错误(10500) :VHDL在mux4_1.vhd的句法错误(5)在文本附近\\ “\\ “;期望\\ “; \\ “或者\\ \") \\”
  • 匿名
2013-05-23 12:24:58
错误 (10500) : VHDL句法错误在mux4_1.vhd( 5) 在文本附近\ “\”; 期望\ “; \”或者\ “)\ “
  • 匿名
2013-05-23 12:26:38
错误 (10500): 在文本附近的 mux4_1.vhd(5) VHDL 语法错误 \",\";期待 \"; \",或 \") \"
  • 匿名
2013-05-23 12:28:18
错误 (10500):在文本附近的 mux4 _1.vhd(5) 的 VHDL 语法错误 \“, \”; 期待 \”;\“,或 \ ) \ ”
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭