当前位置:首页 » 翻译 
  • 匿名
关注:1 2013-05-23 12:21

求翻译:A majority circuit is a combinational circuit whose output is equal to 1 if the input variable have more 1\'s than 0\'s.Design a three-input majority circuit by finding the circuit\'s truth table,Boolean equations,and a logic diagram.是什么意思?

待解决 悬赏分:1 - 离问题结束还有
A majority circuit is a combinational circuit whose output is equal to 1 if the input variable have more 1\'s than 0\'s.Design a three-input majority circuit by finding the circuit\'s truth table,Boolean equations,and a logic diagram.
问题补充:

  • 匿名
2013-05-23 12:21:38
大多数电路是一个组合电路,其输出等于1 ,如果输入变量有更多的1 \的比0 \ ' s.Design一个三输入多数通过查找电路\的真值表电路,布尔方程,并
  • 匿名
2013-05-23 12:23:18
多数人电路是产品是相等的到1的一个组合电路,如果输入变数比0 \\ ‘有更多1 \\ ‘s s.Design三输入多数人电路通过发现电路\\ ‘s实情表、布尔等式和逻辑图。
  • 匿名
2013-05-23 12:24:58
多数人电路是产品是相等的到1的一个组合电路,如果输入变数比0 \ ‘有更多1 \ ‘s s.Design三输入多数人电路通过发现电路\ ‘s实情表、布尔等式和逻辑图。
  • 匿名
2013-05-23 12:26:38
多数电路是组合电路,其输出是等于 1,如果输入的变量有多个 1 的比 0\'s.Design 三输入多数电路通过查找 circuit\ 的真值表、 布尔方程和逻辑图。
  • 匿名
2013-05-23 12:28:18
多数的电路是一个 combinational 电路其产品与 1 同等如果输入变量有更多 1 \ 是比 0 \ ' s.Design 由调查结果所作的一个三输入的多数电路 circuit\ 的真理桌子,布尔等式,一张逻辑图表。
 
 
网站首页

湖北省互联网违法和不良信息举报平台 | 网上有害信息举报专区 | 电信诈骗举报专区 | 涉历史虚无主义有害信息举报专区 | 涉企侵权举报专区

 
关 闭